VNI8200XPTR Malosiaga Suiga ICs - Fa'asoa Malosiaga 8-Ch Octal HS SSR 100mA VIPower

Fa'amatalaga Puupuu:

Tufuga: STMicroelectronics
Vaega o oloa:Suiga Malosiaga ICs – Fa'asoaina Malosiaga
Pepa Fa'amatalaga:VNI8200XPTR
Fa'amatalaga:IC SMART PWR SSR POWERSSO36
RoHS tulaga: RoHS Compliant


Fa'amatalaga Oloa

Vaega

Talosaga

Faailoga o oloa

♠ Faʻamatalaga o oloa

Uiga Oloa Uiga Taua
Tufuga: STMicroelectronics
Vaega o oloa: Malosiaga Suiga ICs - Fa'asoa Malosi
RoHS: Fa'amatalaga
Ituaiga: Itu Maualuga
Numera o Galuega Fa'atino: 8 Fuafuaga
Galuega Fa'atino i le taimi nei: 700 mA
Tapula'a i le taimi nei: 1.1 A
I luga ole tetee - Max: 200 mOhms
Ile Taimi - Max: 5 matou
Taimi Taimi - Max: 10 matou
Galue Sapalai Galue: 10.5 V i le 36 V
La'ititi ole Vevela ole Gaioiina: - 40C
Maualuluga Fa'agaioiga Vevela: + 125 C
Tulaga fa'apipi'i: SMD/SMT
Paket/Mataupu: MalosiSSO-36
Fa'asologa: VNI8200XP
afifiina: Reel
afifiina: Oti le lipine
afifiina: MouseReel
Fa'ailoga: STMicroelectronics
Susū ma'ale'ale: Ioe
Pd - Fa'amama le Malosi: -
Oloa: Uta Suiga
Ituaiga oloa: Malosiaga Suiga ICs - Fa'asoa Malosi
Aofaiga o pusa falegaosimea: 1000
Vaega laiti: Suia ICs
igoa Fefa'ataua'iga: VIPower
Unit mamafa: 809 mg

♠ Octal maualuga-itu atamai malosiaga malo-setete relay ma le serial / fa'atutusa filifili filifiliga i luga-masini

O le VNI8200XP o se aveta'avale 8-channel monolithic o loʻo faʻaalia ai le maualalo o le sapalai o loʻo i ai nei, faʻatasi ai ma fesoʻotaʻiga SPI tuʻufaʻatasia ma le maualuga 100 mA micropower step-down switching regulator peak current control loop mode.O le IC, ua iloa i le STMicroelectronics™ VIPower™ technology, ua fa'amoemoe e ave so'o se ituaiga uta ma le tasi itu e feso'ota'i i le eleele.

Fa'agata fa'agata i le taimi nei fa'atasi ma le tapuni vevela, tuto'atasi mo ala ta'itasi, ma toe fa'afou otometi, puipuia le masini mai le mamafa tele.

O galuega fa'aopoopo fa'apipi'i o le: leiloa o le puipuiga o le GND e otometi lava ona tape le masini pe'ā motusia le eleele, undervoltage tapuni fa'atasi ma hysteresis, Malosi Su'esu'e lelei mo le fa'ailoaina o laina eletise sapalai aoga, galuega fa'atino e mafai ai ona fa'atino vave ON/OFF, ma fa'apolokalameina. galuega leoleo mo le microcontroller faagaoioiga saogalemu;puipuiga o le overtemperature e pulea le vevela mataupu IC.

O lo'o fa'apipi'i e le masini se fa'ailoga e fa-uaea SPI fa'atasi ma le 8 po'o le 16-bit e mafai ona filifili;e ala i se pine filifilia e mafai foi e le masini ona faagaoioia ma se atinaʻe tutusa.

O le 8-bit ma le 16-bit SPI fa'agaioiga e fetaui lelei ma feso'ota'iga filifili daisy.

O le SPI interface e fa'atagaina ai le fa'atonuga o le aveta'avale e ala i le fa'aagaoioia po'o le tapeina o alalaupapa ta'itasi o lo'o fa'aalia, i le 16-bit format, se fa'atonuga o le siakiina o feso'ota'iga.E fa'atagaina ai fo'i le mata'ituina o le tulaga o le IC signaling Power Good, overtemperature condition for channel ta'itasi, IC pre-warning temperature detection.

O le fa'aogaina o le vevela e puipuia ai le pu mai le vevela ma le pu'upu'u.I tulaga ova le mamafa, e otometi lava ona tape le alaleo ma toe ON pe a pa'ū le vevela o le IC i lalo ifo o le fa'amaufa'ailoga e fa'amauina e se fa'amama le vevela ina ia pulea le vevela o le so'oga.Afai o lea tulaga e mafai ai ona o'o atu le vevela o le pusaaisa i le mea e gata ai le vevela, TCSD, o le tele o uta e tapeina ma toe amata, e le o le taimi lava e tasi, pe a pa'ū le vevela o le pusa ma le so'oga i lalo ifo o lo latou tulaga toe setiina.Afai o le tulaga o le toe setiina o le vevela, e le kilia ia alalaupapa ua utaina seia oo i le taimi e toe setiina ai le vevela.E fa'aauau pea ona fa'agaoioia alaleo e le'i ova le uta.O le vevela i luga a'e o le TCSD o lo'o lipotia mai ile pine alavai tatala TWARN.Ose matagaluega i totonu e maua ai se lipoti fa'ailoga masani FAULT e le'i fa'amauina pe afai e tupu se tasi o mea nei: alaleo OVT (overtemperature), fa'aletonu le siakiina o le paleni.O le Power Good diagnostic e lapataia ai le pule e faapea o le voltage sapalai o loʻo i lalo ifo o le tapulaa tumau.O le galuega a le leoleo e fa'aaogaina e iloa ai le tupu mai o se fa'aletonu polokalama a le pule o le talimalo.O le ta'amilosaga leoleo e fa'atupuina se toe setiina i totonu pe a muta le taimi o le watchdog timer.E mafai ona maua le toe setiina o le timer leoleo e ala i le faʻaogaina o se paʻu leaga i luga o le pine WD.E mafai ona fa'aletonu le galuega a le leoleo i le pine fa'apitoa WD_EN.O lenei pine e mafai ai foi ona faʻapipiʻiina le tele o taimi o vaʻaiga leoleo.

O le ta'amilosaga ta'avale ta'avale LED matrix (4 laina, 2 koluma) e mafai ai ona iloa le tulaga o mea e tasi.E tu'ufa'atasia le la'asaga i lalo voltage regulator e tu'uina atu ai le sapalai i le ta'avale mata'utia LED i totonu ma fa'apolopolo mea e mafai ona fa'aogaina ma e mafai ona fa'aoga e tu'uina atu ai le optocoupler fafo pe a mana'omia le fa'aesea.O lo'o puipuia le pule fa'atonu mai tulaga pupu'u po'o le tele o le mamafa fa'afetai i le fa'atapula'a o le pa'u-ma-pulse fa'atasi ai ma le fa'aogaina o le matasele pito i luga.


  • Muamua:
  • Sosoo ai:

  • ·Tuuina atu i le taimi nei: 0.7 A i le alalaupapa

     ·Fa'asinomaga/fa'atusa e mafai ona filifilia

     ·Puipuiga pupuu

    ·8-bit ma le 16-bit SPI Interface mo le faʻatonuga IC ma le faʻatonutonuina o suʻesuʻega

    ·Su'esu'e ma le puipuiga o le maualuga o le vevela

     ·Tuto'atasi fa'avevela o alāvai eseese

     ·Aveina uma ituaiga o uta (resistive, capacitive, inductive load)

    ·Le maua o le puipuiga o le GND

    ·Malosi Su'esu'e lelei

    ·Undervoltage tapuni ma hysteresis

     ·Puipuiga o le malosi (VCC pipii)

    ·E matua maualalo le sapalai o le taimi nei

     ·Fa'aletonu masani e tatala alavai

    ·Su'esu'eina o le vevela o le IC

    ·E mafai ona maua le alavai

    ·100 mA maualuga le lelei la'asaga i lalo fa'atonutonu sui fa'atasi ma tu'ufa'atasi ta'avale ta'avale

    ·Fa'atonuga fa'atonuga

    ·Fa'amalo le suiga o le pule

    ·5 V ma 3.3 V fetaui I/Os

    ·Fa'alava fa'aola tulaga fa'aola ta'avale ta'avale 4×2 telexed array

    ·Demagnetization vave o uta inductive

     ·Puipuiga ESD

     ·Fuafuaina e faʻafetaui le IEC61131-2, IEC61000-4-4, ma le IEC61000-4-5

    ·Pulea fa'atonuga fa'apolokalame

    ·Alamanuia PC peripheral input/output

    ·Masini fa'atonu numera

    Oloa Fa'atatau