AM3352BZCZA100 Microprocessors – MPU ARM Cortex-A8 MPU

Fa'amatalaga Puupuu:

Faumea: Texas Instruments
Vaega o oloa: Microprocessors - MPU
Pepa Fa'amatalaga:AM3352BZCZA100
Fa'amatalaga:IC MPU SITARA 1.0GHZ 324NFBGA
RoHS tulaga: RoHS Compliant


Fa'amatalaga Oloa

Vaega

Talosaga

Faailoga o oloa

♠ Faʻamatalaga o oloa

Uiga Oloa Uiga Taua
Tufuga: Texas Meafaigaluega
Vaega o oloa: Microprocessors - MPU
RoHS: Fa'amatalaga
Tulaga fa'apipi'i: SMD/SMT
Paket/Mataupu: PBGA-324
Fa'asologa: AM3352
Autu: ARM Cortex A8
Numera o Core: 1 Autu
Fa'amatalaga Pasi Lautele: 32 bit
Maualuluga o le Uati Faatele: 1 GHz
L1 Cache Fa'atonuga Manatu: 32 kB
L1 Cache Fa'amaumauga Fa'amaumauga: 32 kB
Galue Sapalai Galue: 1.325 V
La'ititi ole Vevela ole Gaioiina: - 40C
Maualuluga Fa'agaioiga Vevela: + 125 C
afifiina: fata
Fa'ailoga: Texas Meafaigaluega
Fa'amatalaga RAM Tele: 64 kB, 64 kB
Tele fa'amaumauga ROM: 176 kB
Pusa Atina'e: TMDXEVM3358
Malolo I/O: 1.8 V, 3.3 V
Ituaiga Fa'amatalaga: CAN, Ethernet, I2C, SPI, UART, USB
L2 Cache Faatonuga / Manatu Fa'amatalaga: 256 kB
Ituaiga manatua: L1/L2/L3 Cache, RAM, ROM
Susū ma'ale'ale: Ioe
Numera o Timers/Cocounters: 8 Taimi
Fa'asologa Fa'asologa: Sitara
Ituaiga oloa: Microprocessors - MPU
Aofaiga o pusa falegaosimea: 126
Vaega laiti: Microprocessors - MPU
igoa Fefa'ataua'iga: Sitara
Watchdog Timers: Watchdog Taimi
Unit mamafa: 1.714 g

♠ AM335x Sitara ™ Fa'atonuga

O le AM335x microprocessors, faʻavae i luga o le ARM Cortex-A8 processor, ua faʻaleleia i ata, faʻataʻitaʻiga faʻataʻitaʻiga, peripherals ma atinaʻe atinaʻe filifiliga e pei ole EtherCAT ma PROFIBUS.O masini e lagolagoina faiga fa'aoga maualuga (HLOS).Processor SDK Linux® ma TI-RTOS e maua fua mai TI.

O le AM335x microprocessor o loʻo i ai vaega laiti o loʻo faʻaalia i le Functional Block Diagram ma se faʻamatalaga puupuu o mea taʻitasi e mulimuli mai:

O loʻo i ai vaega laiti o loʻo faʻaalia i le Functional Block Diagram ma se faʻamatalaga puupuu o mea taʻitasi o loʻo mulimuli mai:

O le microprocessor unit (MPU) subsystem e fa'avae i le ARM Cortex-A8 processor ma le PowerVR SGX™ Graphics Accelerator subsystem e maua ai le fa'avavevave ata 3D e lagolago ai fa'aaliga ma ta'aloga.O le PRU-ICSS e ese mai le ARM autu, faʻatagaina le faʻaogaina tutoʻatasi ma le faʻailoga mo le sili atu le lelei ma le fetuutuunai.

O le PRU-ICSS e mafai ai ona fa'aopoopoina feso'ota'iga fa'apitonu'u ma fa'asologa o taimi moni e pei ole EtherCAT, PROFINET, EtherNet/IP, PROFIBUS, Ethernet Powerlink, Sercos, ma isi.E le gata i lea, o le natura faʻapolokalameina o le PRU-ICSS, faʻatasi ai ma lona avanoa i pine, mea tutupu ma punaoa uma-i-chip (SoC), e maua ai le fetuutuunai i le faʻatinoina o tali vave, taimi moni, faʻatautaia faʻamatalaga faʻapitoa, fesoʻotaʻiga lautele faʻapitoa. , ma i le fa'auluina o galuega mai isi fa'atonuga ole SoC.


  • Muamua:
  • Sosoo ai:

  • • E oo atu ile 1-GHz Sitara™ ARM® Cortex® -A8 32‑Bit RISC Processor

    - NEON™ SIMD Coprocessor

    – 32KB o le L1 Faatonuga ma le 32KB o Fa'amatalaga Cache ma le Su'esu'ega Tasi-Sese (Parity)

    – 256KB ole L2 Cache ma Fa'atonu Fa'atonu Fa'atonu (ECC)

    - 176KB o le On-Chip Boot ROM

    - 64KB o le RAM tuuto

    - Faʻataʻitaʻiga ma Debug - JTAG

    - Pule Faʻalavelave (e oʻo atu i le 128 Talosaga Faʻalavelave)

    • Fa'amanatuga i luga ole masini (L3 RAM fa'asoa)

    – 64KB o le fa'atonuga lautele i luga o le masini fa'amanatu (OCMC) RAM

    - Avanoa i Masters uma

    - Lagolagoina le Taofi mo le Vave vave

    • Feso'ota'iga i Fafo (EMIF)

    – mDDR(LPDDR), DDR2, DDR3, DDR3L Pule:

    – mDDR: 200-MHz Uati (400-MHz Fua Fa'amaumauga)

    – DDR2: 266-MHz Uati (532-MHz Fua Fa'amatalaga)

    – DDR3: 400-MHz Uati (800-MHz Fua Fa'amatalaga)

    – DDR3L: 400-MHz Uati (800-MHz Fua Fa'amatalaga)

    – 16-Bit Data Bus

    – 1GB o le Avanoa Fa'asalalau Aofa'i

    - Lagolagoina le tasi x16 poʻo le lua x8 Faʻatonu Mea Faʻatonu

    – Pulea'i Manaoga Lautele (GPMC)

    – Fetuuna'i le 8-Bit ma le 16-Bit Asynchronous Memory Interface ma e oo atu i le Fitu Chip Filifilia (NAND, NOR, Muxed-NOR, SRAM)

    – Fa'aaoga le BCH Code e lagolago ai le 4-, 8-, po'o le 16-Bit ECC

    – Fa'aaogā le Code Hamming e lagolago ai le 1-Bit ECC

    – Fa'ailoga Su'e Sese (ELM)

    - Fa'aoga fa'atasi ma le GPMC e Su'e tuatusi o Fa'amatalaga Fa'amatalaga mai Syndrome Polynomials Fa'atupuina ile Fa'aaogaina ole BCH Algorithm.

    - Lagolago 4-, 8-, ma le 16-Bit i le 512-Byte Block Faʻailoga Faʻatonu Faʻavae ile BCH Algorithms

    • Fa'apolokalameina i le Taimi Moni Vaega So'otaga ma Alamanuia Feso'ota'iga Subsystem (PRU-ICSS)

    - Lagolagoina Polokalama e pei ole EtherCAT®, PROFIBUS, PROFINET, EtherNet/IP™, ma isi mea.

    – Lua Polokalama Moni Iunite Taimi (PRUs)

    – 32-Bit Load/Store RISC Processor e mafai ona tamo'e ile 200 MHz

    - 8KB o le faʻatonuga RAM faʻatasi ai ma le suʻesuʻeina o mea sese (Parity)

    - 8KB o faʻamatalaga RAM faʻatasi ai ma le suʻesuʻeina o mea sese (Parity)

    – Ta'amilosaga Ta'itasi 32-Bit Fa'atele Fa'atasi ma le 64-Bit Accumulator

    - Faʻaleleia le GPIO Module e tuʻuina atu ai le lagolago i totonu / fafo ma faʻamau faʻatasi i faʻailoga fafo.

    - 12KB o le faʻasoa RAM faʻatasi ai ma le suʻesuʻeina o mea sese (Parity)

    – Tolu 120-Byte Resitala Faletupe e mafai ona maua e PRU ta'itasi

    – Fa'alavelave fa'alavelave (INTC) mo le fa'afoeina o mea e fai i totonu o le System

    – Pasi Feso'ota'i Fa'alotoifale mo le Feso'ota'i Matai i totonu ma fafo i Punaoa i totonu ole PRU-ICSS

    - Va'aiga i totonu ole PRU-ICSS:

    – Tasi UART Taulaga Fa'atasi ma Pins Pulea Fa'asolo, Lagolago e o'o atu i le 12 Mbps

    – Tasi le Fa'aleleia o le Pu'eina (eCAP) Module

    - Lua MII Ethernet Ports e lagolagoina Industrial Ethernet, pei ole EtherCAT

    – Tasi le Taulaga MDIO

    • Malosi, Toe Toe, ma Pulea le Uati (PRCM) Module

    - Puleaina le Ulufale ma le Ofo o Tutu-By ma le moe loloto

    – E nafa ma le Fa'asologa o le moe, Fa'asologa o le Malosiaga o le Malosiaga-Tape, Fa'asologa o le Ala, ma le Fa'asologa o le Malosiaga Malosiaga.

    – Uati

    – Tu'ufa'atasi 15- i le 35-MHz High-Frequency Oscillator Fa'aaogaina e fa'atupuina ai se Uati Fa'asinomaga mo Va'aiga Eseese ma Uati Peripheral

    - Lagolagoina le Uati Ta'ito'atasi Fa'aaga ma Fa'aletonu le Pulea mo Subsystems ma Peripherals e fa'afaigofie ai le fa'aitiitia o le fa'aogaina o le malosi.

    - E lima ADPLL e faʻatupuina ai uati (MPU Subsystem, DDR Interface, USB ma Peripherals [MMC ma SD, UART, SPI, I 2C], L3, L4, Ethernet, GFX [SGX530], LCD Pixel Clock)

    – Malosi

    – Lua Nofoaga Malosi e le mafai ona sui (Uati Taimi Moni [RTC], Fa'aa'oa Fa'alafua [WAKEUP])

    – Tolu Suiga Malosi'i (MPU Subsystem [MPU], SGX530 [GFX], Peripherals ma Atina'e [PER])

    – Fa'atino le SmartReflex™ Vasega 2B mo le Fa'afuaina o Voltage Autu Fa'atatau i le Temperature Die, Su'ega Fa'agasologa, ma Fa'atinoga (Adaptive Voltage Scaling [AVS])

    – Fa'ata'ita'i Fa'asa'o Fa'asao Malaga (DVFS)

    • Uati Taimi Moni (RTC)

    – Taimi Moni Aso (Aso-Masina-Tausaga-Aso o le Vaiaso) ma Taimi (Ila-Minutes-Secons) Fa'amatalaga

    – Fa'alotoifale 32.768-kHz Oscillator, RTC Logic ma le 1.1-V LDO totonu.

    – Tuto'atasi Malosi-i-Reset (RTC_PWRONRSTn) Ulufale

    – Fa'amautu Fa'amau Fa'amau (EXT_WAKEUP) mo Fa'alavelave Fafafo Fafo

    - E mafai ona fa'aogaina le Ala Fa'apolokalame e fa'atupu ai fa'alavelave i totonu i le PRCM (mo le Fafagu) po'o le Cortex-A8 (mo Fa'amatalaga Fa'aaliga)

    – Polokalama Fa'ailo e mafai ona fa'aogaina ma fafo (PMIC_POWER_EN) e fa'aagaoioia ai le Power Management IC e toe fa'afoisia ai le pule ole RTC.

    • Peripheral

    - E oʻo atu i le lua USB 2.0 High-Speed ​​DRD (Dual-Role Device) Taulaga Faʻatasi ma PHY tuʻufaʻatasia

    - E oo atu i le lua Industrial Gigabit Ethernet MACs (10, 100, 1000 Mbps)

    – Suiga tu'ufa'atasi

    - E lagolagoina e MAC taʻitasi MII, RMII, RGMII, ma MDIO Interfaces

    - Ethernet MACs ma Suiga e mafai ona fa'agaoioia tutoatasi mai isi galuega

    – IEEE 1588v1 Polokalama Taimi Sa'o (PTP)

    – E oo atu i le lua Pule-Area Network (CAN) Taulaga

    - Lagolago CAN Version 2 Vaega A ma le B

    - E o'o atu i le lua tele alalaupapa leo Taulaga Serial (McASPs)

    - Faʻasalalau ma Maua Uati e oʻo atu i le 50 MHz

    - E oʻo atu i le fa faʻamaufaʻailoga faʻamaufaʻailoga i le McASP Port ma le Tutoatasi TX ma le RX Uati

    - Lagolagoina o le Time Division Multiplexing (TDM), Inter-IC Sound (I2S), ma Faʻasologa Faʻatusa

    - Lagolagoina Fa'asalalauga Fa'atekonolosi Fa'alogo (SPDIF, IEC60958-1, ma AES-3 Fa'asologa)

    – FIFO Pa'u mo le Tu'u ma Maua (256 Paita)

    – E oo atu i le ono UARTs

    - UART uma e lagolagoina IrDA ma CIR Modes

    - UART uma e lagolagoina le RTS ma le CTS Flow Control

    - UART1 Lagolagoina Pulea Modem atoa

    – E oo atu i le lua Matai ma le pologa McSPI Serial Interfaces

    - E oo atu i le lua Chip Filifilia

    - E oʻo atu i le 48 MHz

    - E oʻo atu i le tolu MMC, SD, SDIO Taulaga

    – 1-, 4- ma le 8-Bit MMC, SD, SDIO Faiga

    - MMCSD0 o loʻo i ai le Faʻatonuga Pulea mo le 1.8‑V poʻo le 3.3-V Galuega

    - E oʻo atu i le 48-MHz Faʻamatalaga Faʻamatalaga Faʻamatalaga

    - Lagolago Card Su'e ma Tusi Puipui

    - Faʻatasi ma MMC4.3, SD, SDIO 2.0 Faʻamatalaga

    - E oʻo atu i le Tolu I 2C Matai ma Pologa Faʻatasi

    – Tulaga masani (e oo atu i le 100 kHz)

    - Auala vave (e oo atu i le 400 kHz)

    – E oo atu i le Fa Faletupe o Pini I/O (GPIO).

    – 32 GPIO Pin i le Faletupe (Fa'atele ma isi pine fa'atino)

    - GPIO Pins e mafai ona faʻaaogaina e fai ma faʻalavelave faʻalavelave (e oʻo atu i le lua faʻalavelave faʻalavelave i le Faletupe)

    - E oʻo atu i le tolu faʻaoga DMA i fafo e mafai ona faʻaaogaina e fai ma faʻalavelave faʻalavelave

    – E valu 32-Bit Taimi Fa'amoemoe Lautele

    - DMTIMER1 o se 1-ms Taimi Fa'aaogaina mo Tiki Fa'agaioiga (OS).

    - DTIMER4–DTIMER7 o loʻo faʻapipiʻiina i fafo

    - Tasi le Watchdog Timer

    – SGX530 3D Graphics Engine

    – Fa'ata'ita'iga Fa'avae Tile e tu'uina atu i le 20 Miliona Polygons ile Lua

    - Universal Scalable Shader Engine (USSE) o se Inisinia Telethreaded Fa'aofiina Pixel ma Vertex Shader Functionality

    - Faʻatonu Faʻaaliga Faʻapitoa Faʻatonu e sili atu i le Microsoft VS3.0, PS3.0, ma le OGL2.0

    - Alamanuia Standard API Lagolago o Direct3D Mobile, OGL-ES 1.1 ma 2.0, ma OpenMax

    - Suiga Galuega Fa'alelei, Fa'apaleni Uta, ma Pulea Malosiaga

    - Fa'agaioiga Fa'atonu a le Geometry DMA mo La'ititi o Fegalegaleaiga CPU

    – Fa'apolokalame Ata Maualuga Ata Anti-Aliasing

    – Fa'amatalaga Fa'atonu Fa'atonu mo le Fa'agaioiina o le OS i totonu o le Fa'ata'ita'iga Tu'ufa'atasi

    • Taaloga Taaloga

    • Fale ma Alamanuia Automation

    • Meafaigaluega Fa'afoma'i Fa'atau

    • Lomitusi

    • Faiga Toll Atamai

    • Masini Fa'atau Feso'ota'i

    • Fua Fa'atatau

    • Consoles Faaleaoaoga

    • Meataalo Amata

    Oloa Fa'atatau